GHDL VHDL compiler and simulator

[ Back ] [ Gtkwave ]

Contents
  • Introduction

Introduction

Installation

Scientific Linux 6.x:

linux% sudo yum install ghdl

Ubuntu:

linux% cd Downloads
linux% wget http://ftp.br.debian.org/debian/pool/main/g/ghdl/ghdl_0.29+gcc4.3.4+dfsg-1.1_amd64.deb
linux% sudo dpkg -i ghdl_0.29+gcc4.3.4+dfsg-1.1_amd64.deb

Documentation



Last update: