Advanced analog design simulations

Contents

Keywords:

See also

http://www.32mosfets.com/cadence/

Per far si che ADE XL Test Editor abbia tutti i menu gia' presenti in ADE L inteface, usare

envSetVal( "adexl.testEditor" "showAllMenus" `boolean t )

in un local .cdsinit file oppure

adexl.testEditor showAllMenus boolean t

in un local .cdsenv file

this topic

youtube video1 here

yotube video2 here

Launch > ADE XL

Data View (assistant pane) > Tests > Click to add test

ADE XL Tetst Editor window

Data View > Corners > Click to add corner

/usr/cadence/IC_6.1.X/tools/dfII/etc/cdslib/artist/functional/allFunc.scs

Web tutorial

Cadence offre gia' un piccolo file di corner compilati!

''<sub>/usr/cadence/IC_6.1.5/

Video from youtube here

A detailed Wiki page about this topic has been created, please refer to Corner simulations using Cadence ADE XL

A detailed Wiki page about this topic has been created, please refer to Monte Carlo simulations using Cadence ADE XL.

See also http://wikis.ece.iastate.edu/vlsi/index.php/MonteCarlo_Simulations_using_ADE_XL.



Last update: Luca Pacher - Apr 23, 2013