Differenze

Queste sono le differenze tra la revisione selezionata e la versione attuale della pagina.

Link a questa pagina di confronto

Ultima revisione Entrambe le parti successive la revisione
vlsi:workbook2:pads [17/06/2014 07:11]
pacher creata
vlsi:workbook2:pads [18/06/2014 20:26]
pacher
Linea 6: Linea 6:
  
 Write a top level Verilog IO wrapper Write a top level Verilog IO wrapper
 +
 +[[http://​www.edaboard.com/​thread42490.html]]
 +
 +