Differenze

Queste sono le differenze tra la revisione selezionata e la versione attuale della pagina.

Link a questa pagina di confronto

Entrambe le parti precedenti la revisione Revisione precedente
Prossima revisione
Revisione precedente
vlsi:workbook2:makefiles [29/05/2014 00:53]
pacher
vlsi:workbook2:makefiles [05/06/2014 23:27] (versione attuale)
pacher
Linea 6: Linea 6:
  
  
 +[[http://​sunsite.ualberta.ca/​Documentation/​Gnu/​make-3.79/​html_chapter/​make_7.html]]
  
 [[http://​www.cs.indiana.edu/​hmg/​le/​project-home/​xilinx/​ise_13.2/​ISE_DS/​ISE/​coregen/​ip/​xilinx/​primary/​com/​xilinx/​ip/​cdn_axi_bfm/​examples/​Makefile]] [[http://​www.cs.indiana.edu/​hmg/​le/​project-home/​xilinx/​ise_13.2/​ISE_DS/​ISE/​coregen/​ip/​xilinx/​primary/​com/​xilinx/​ip/​cdn_axi_bfm/​examples/​Makefile]]
Linea 19: Linea 20:
 [[http://​www.csee.umbc.edu/​portal/​help/​VHDL/​Makefile.cadence]] [[http://​www.csee.umbc.edu/​portal/​help/​VHDL/​Makefile.cadence]]
  
 +[[http://​www.ece.ucdavis.edu/​~astill/​Makefile]]
  
 ====== Makefile for Cadence Incisive tools ====== ====== Makefile for Cadence Incisive tools ======
 +
 +[[http://​vak-opensource.googlecode.com/​svn/​trunk/​hardware/​systemverilog/​uart-example/​Makefile]] \\
 +[[https://​groups.google.com/​forum/#​!topic/​comp.lang.verilog/​iMd4NMmko8s]]
  
 ''​configure''​ file: ''​configure''​ file:
Linea 225: Linea 230:
  @echo "​DEFINE $(WORK) $(LIBDIR)/​$(WORK)"​ >> $(CDSLIB)  @echo "​DEFINE $(WORK) $(LIBDIR)/​$(WORK)"​ >> $(CDSLIB)
  @echo "​INCLUDE $(CDS_INST_DIR)/​tools/​inca/​files/​cds.lib"​ >> $(CDSLIB)  @echo "​INCLUDE $(CDS_INST_DIR)/​tools/​inca/​files/​cds.lib"​ >> $(CDSLIB)
- @echo "Added $(WORK) and default libraries to cds.lib ​file"+ @echo "Added $(WORK) and default libraries to $(CDSLIB) ​file"
  
 # Target: list # Target: list
Linea 349: Linea 354:
  
 ====== Makefile for Cadence RTL Compiler (RC) ====== ====== Makefile for Cadence RTL Compiler (RC) ======
 +
 +[[http://​people.virginia.edu/​~ll2bf/​docs/​various/​flow_example.html]] ​ \\
 +[[http://​soc.eurecom.fr/​EDC/​cadence_rc_quick_start/​src/​Makefile]]
  
 <​code>​ <​code>​
Linea 356: Linea 364:
 # RTL Compiler executable # RTL Compiler executable
 RC = rc RC = rc
 +
 +RM = rm -f
  
 # RTL Compiler command line options # RTL Compiler command line options
Linea 367: Linea 377:
 # Target: syn # Target: syn
 # call Cadence RTL Compiler with input main Tcl script # call Cadence RTL Compiler with input main Tcl script
-syn:+syn: clean
         $(RC) $(RCOPTS)         $(RC) $(RCOPTS)
  
Linea 374: Linea 384:
 # delete all log and backup files # delete all log and backup files
 clean: clean:
-      find ./ -name '​*.log*' ​    ​-exec ​rm -{} \; +      @find ./ -name '​*.log*' ​    ​-exec ​$(RM) {} \; 
-        find ./ -name '​*~' ​        ​-exec ​rm -f {} \;        ​+        @find ./ -name '​*.cmd*' ​    -exec $(RM) {} \; 
 +        ​@find ./ -name '​*~' ​        ​-exec ​$(RM) {} \;        ​
 </​code>​ </​code>​