Differenze

Queste sono le differenze tra la revisione selezionata e la versione attuale della pagina.

Link a questa pagina di confronto

Entrambe le parti precedenti la revisione Revisione precedente
Prossima revisione
Revisione precedente
vlsi:workbook2:makefiles [28/05/2014 23:41]
pacher
vlsi:workbook2:makefiles [05/06/2014 23:27] (versione attuale)
pacher
Linea 6: Linea 6:
  
  
 +[[http://​sunsite.ualberta.ca/​Documentation/​Gnu/​make-3.79/​html_chapter/​make_7.html]]
  
 [[http://​www.cs.indiana.edu/​hmg/​le/​project-home/​xilinx/​ise_13.2/​ISE_DS/​ISE/​coregen/​ip/​xilinx/​primary/​com/​xilinx/​ip/​cdn_axi_bfm/​examples/​Makefile]] [[http://​www.cs.indiana.edu/​hmg/​le/​project-home/​xilinx/​ise_13.2/​ISE_DS/​ISE/​coregen/​ip/​xilinx/​primary/​com/​xilinx/​ip/​cdn_axi_bfm/​examples/​Makefile]]
Linea 19: Linea 20:
 [[http://​www.csee.umbc.edu/​portal/​help/​VHDL/​Makefile.cadence]] [[http://​www.csee.umbc.edu/​portal/​help/​VHDL/​Makefile.cadence]]
  
 +[[http://​www.ece.ucdavis.edu/​~astill/​Makefile]]
  
 ====== Makefile for Cadence Incisive tools ====== ====== Makefile for Cadence Incisive tools ======
 +
 +[[http://​vak-opensource.googlecode.com/​svn/​trunk/​hardware/​systemverilog/​uart-example/​Makefile]] \\
 +[[https://​groups.google.com/​forum/#​!topic/​comp.lang.verilog/​iMd4NMmko8s]]
  
 ''​configure''​ file: ''​configure''​ file:
Linea 116: Linea 121:
 # Remove command # Remove command
 RM = rm -rf RM = rm -rf
 +
 +# SimVision options can be passed to ncsim/​irun ​
 +# executables with the -simvisargs switch:
 +# -simvisargs " $(SIMVISARGS)"​
 +# Use simvision -help for more information
 +SIMVISARGS = -cdslib $(CDSLIB) ​                   \
 +             ​-logfile $(LOGDIR)/​simvision.log ​    \
 +             ​-keyfile $(LOGDIR)/​simvision.key ​    \
 +             ​-diagfile $(LOGDIR)/​simvision.diag ​  \
 +             ​-nosplash ​                           \
 +             ​-waves ​
 +
 +# Note! 
 +# You must pass SimVision options to ncsim/irun in quotes!
 +# Furthermore,​ you must also leave a blank space before the 
 +# first option (Tcl syntax error otherwise)
  
  
Linea 170: Linea 191:
             -update ​            \             -update ​            \
             -status ​            \             -status ​            \
-            -simvisargs "​$(SIMVISARGS)"​ +            -simvisargs " $(SIMVISARGS)" ​   \ 
-            -logfile $(LOGDIR)/​ncsim.log ​  ​+            -logfile $(LOGDIR)/​ncsim.log ​   
-            -keyfile $(LOGDIR)/​ncsim.key ​  ​+            -keyfile $(LOGDIR)/​ncsim.key ​   
-            -input ./​scripts/​shm.tcl ​      ​\ +            -input ./​scripts/​shm.tcl ​       \
- +
-# simvision options +
-# SimVision options can be paased to NCSim with the +
-# -simvisargs switch +
-# Use simvision -help for more information +
-SIMVISARGS = -waves ​  \  +
  
 # Linting tool options (missing...) # Linting tool options (missing...)
Linea 188: Linea 202:
 # User irun -helpall for more information # User irun -helpall for more information
 IRUNOPTS = -$(RUNMODE) ​            \ IRUNOPTS = -$(RUNMODE) ​            \
 +           -v $(CDSLIB) ​           \
            -l $(LOGDIR)/​irun.log ​  \            -l $(LOGDIR)/​irun.log ​  \
            -k $(LOGDIR)/​irun.key ​  \            -k $(LOGDIR)/​irun.key ​  \
Linea 193: Linea 208:
            ​-exit ​                  \            ​-exit ​                  \
            -top $(TOP) ​            \            -top $(TOP) ​            \
 +           ​-simvisargs " $(SIMVISARGS)"​
  
  
Linea 214: Linea 230:
  @echo "​DEFINE $(WORK) $(LIBDIR)/​$(WORK)"​ >> $(CDSLIB)  @echo "​DEFINE $(WORK) $(LIBDIR)/​$(WORK)"​ >> $(CDSLIB)
  @echo "​INCLUDE $(CDS_INST_DIR)/​tools/​inca/​files/​cds.lib"​ >> $(CDSLIB)  @echo "​INCLUDE $(CDS_INST_DIR)/​tools/​inca/​files/​cds.lib"​ >> $(CDSLIB)
- @echo "Added $(WORK) and default libraries to cds.lib ​file"+ @echo "Added $(WORK) and default libraries to $(CDSLIB) ​file"
  
 # Target: list # Target: list
Linea 250: Linea 266:
 # Compile, elaborate and simulate the design # Compile, elaborate and simulate the design
 update: prepare update: prepare
 +
 +
 +
 +# Target: results
 +results: ​
 +        simvision $(SIMVISARGS) $(SNAPSHOT) &
  
  
Linea 332: Linea 354:
  
 ====== Makefile for Cadence RTL Compiler (RC) ====== ====== Makefile for Cadence RTL Compiler (RC) ======
 +
 +[[http://​people.virginia.edu/​~ll2bf/​docs/​various/​flow_example.html]] ​ \\
 +[[http://​soc.eurecom.fr/​EDC/​cadence_rc_quick_start/​src/​Makefile]]
  
 <​code>​ <​code>​
Linea 339: Linea 364:
 # RTL Compiler executable # RTL Compiler executable
 RC = rc RC = rc
 +
 +RM = rm -f
  
 # RTL Compiler command line options # RTL Compiler command line options
Linea 350: Linea 377:
 # Target: syn # Target: syn
 # call Cadence RTL Compiler with input main Tcl script # call Cadence RTL Compiler with input main Tcl script
-syn:+syn: clean
         $(RC) $(RCOPTS)         $(RC) $(RCOPTS)
  
Linea 357: Linea 384:
 # delete all log and backup files # delete all log and backup files
 clean: clean:
-      find ./ -name '​*.log*' ​    ​-exec ​rm -{} \; +      @find ./ -name '​*.log*' ​    ​-exec ​$(RM) {} \; 
-        find ./ -name '​*~' ​        ​-exec ​rm -f {} \;        ​+        @find ./ -name '​*.cmd*' ​    -exec $(RM) {} \; 
 +        ​@find ./ -name '​*~' ​        ​-exec ​$(RM) {} \;        ​
 </​code>​ </​code>​