UCF location constraints (Spartan-3AN Starter Kit board)

[ Back ]

Contents

Introduction

Quick cut-and-paste code snippets.

Reference documentation

Spartan-3A/3AN FPGA Starter Kit Board User Guide (ug334.pdf)
http://www.xilinx.com/support/documentation/boards_and_kits/ug334.pdf

Constraints Guide (cgd.pdf)
http://www.xilinx.com/itp/xilinx10/books/docs/cgd/cgd.pdf

Slide switches

NET "SW0"   LOC = "V8"  |  IOSTANDARD = LVCMOS33 ;
NET "SW1"   LOC = "U10" |  IOSTANDARD = LVCMOS33 ;
NET "SW2"   LOC = "U8"  |  IOSTANDARD = LVCMOS33 ;
NET "SW3"   LOC = "T9"  |  IOSTANDARD = LVCMOS33 ;

Push-button switches

Push-button switches

NET "EAST"    LOC = "T16"  | IOSTANDARD = LVCMOS33  |  PULLDOWN ;
NET "NORTH"   LOC = "T14"  | IOSTANDARD = LVCMOS33  |  PULLDOWN ;
NET "SOUTH"   LOC = "T15"  | IOSTANDARD = LVCMOS33  |  PULLDOWN ;
NET "WEST"    LOC = "U15"  | IOSTANDARD = LVCMOS33  |  PULLDOWN ;


Rotary push-button switch

NET "A"       LOC = "T13"  |  IOSTANDARD = LVCMOS33  | PULLUP   ;
NET "B"       LOC = "R14"  |  IOSTANDARD = LVCMOS33  | PULLUP   ;
NET "CENTER"  LOC = "R13"  |  IOSTANDARD = LVCMOS33  | PULLDOWN ;

Discrete LEDs

NET "LED0"   LOC = "R20"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED1"   LOC = "T19"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED2"   LOC = "U20"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED3"   LOC = "U19"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED4"   LOC = "V19"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED5"   LOC = "V20"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED6"   LOC = "Y22"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ; 
NET "LED7"   LOC = "W21"  |  IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ;

Clock sources

On-board 50 MHz crystal oscillator

NET "CLK"   LOC = "E12"  |  IOSTANDARD = LVCMOS33 ;


Auxiliary clock oscillator socket (empty)

NET "CLK"   LOC = "V12"  |  IOSTANDARD = LVCMOS33 ;


SMA connector

NET "CLK"   LOC = "U12"  |  IOSTANDARD = LVCMOS33 ;

Six-pin accessory headers

Thru-hole pads (J19 header test points)

NET "PAD1"   LOC = "Y18"  | IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ;
NET "PAD2"   LOC = "W18"  | IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ;
NET "PAD3"   LOC = "V17"  | IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ;
NET "PAD4"   LOC = "W17"  | IOSTANDARD = LVCMOS33  | SLEW = SLOW  | DRIVE = 8 ;



Last update: Luca Pacher - Nov 19, 2014