Inserting PADs with Encounter

[ Back ]

Write a top level Verilog IO wrapper

http://www.edaboard.com/thread42490.html

http://www.iis.ee.ethz.ch/~vlsi2/t1/aufgabenstellung/training1.pdf