Differenze

Queste sono le differenze tra la revisione selezionata e la versione attuale della pagina.

Link a questa pagina di confronto

Prossima revisione
Revisione precedente
vlsi:workbook:fpga:xilinx:spartan3an:ucf [19/11/2014 20:09]
pacher creata
vlsi:workbook:fpga:xilinx:spartan3an:ucf [19/11/2014 20:51] (versione attuale)
pacher
Linea 4: Linea 4:
 [ __[[vlsi:​workbook:​fpga:​spartan3an|Back]]__ ] [ __[[vlsi:​workbook:​fpga:​spartan3an|Back]]__ ]
  
 +== Contents ==
  
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​introduction|Introduction]]
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​reference_documentation|Reference documentation]]
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​slide_switches|Slide switches]]
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​push-button_switches|Push-button switches]]
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​discrete_leds|Discrete LEDs]]
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​clock_sources|Clock sources]]
 +   * [[vlsi:​workbook:​fpga:​xilinx:​spartan3an:​ucf#​six-pin_accessory_headers|Six-pin accessory headers]]
 +   * ... (VGA, LCD, audio jack etc.)
 +
 +
 +
 +====== Introduction ======
 +
 +Quick cut-and-paste code snippets.
  
  
Linea 10: Linea 25:
  
 //​Spartan-3A/​3AN FPGA Starter Kit Board User Guide// (''​ug334.pdf''​) \\ //​Spartan-3A/​3AN FPGA Starter Kit Board User Guide// (''​ug334.pdf''​) \\
 +[[http://​www.xilinx.com/​support/​documentation/​boards_and_kits/​ug334.pdf]]
  
  
 +//​Constraints Guide// (''​cgd.pdf''​) \\
 +[[http://​www.xilinx.com/​itp/​xilinx10/​books/​docs/​cgd/​cgd.pdf]]
  
-//​Constraints Guide// ​ \\+====== Slide switches ======
  
 +<​code>​
 +NET "​SW0" ​  LOC = "​V8" ​ |  IOSTANDARD = LVCMOS33 ;
 +NET "​SW1" ​  LOC = "​U10"​ |  IOSTANDARD = LVCMOS33 ;
 +NET "​SW2" ​  LOC = "​U8" ​ |  IOSTANDARD = LVCMOS33 ;
 +NET "​SW3" ​  LOC = "​T9" ​ |  IOSTANDARD = LVCMOS33 ;
 +</​code>​
  
-====== ​Slide switches ======+ 
 + 
 + 
 +====== ​Push-button ​switches ====== 
 + 
 +__**Push-button switches**__ 
 + 
 +<​code>​ 
 +NET "​EAST" ​   LOC = "​T16" ​ | IOSTANDARD = LVCMOS33 ​ |  PULLDOWN ; 
 +NET "​NORTH" ​  LOC = "​T14" ​ | IOSTANDARD = LVCMOS33 ​ |  PULLDOWN ; 
 +NET "​SOUTH" ​  LOC = "​T15" ​ | IOSTANDARD = LVCMOS33 ​ |  PULLDOWN ; 
 +NET "​WEST" ​   LOC = "​U15" ​ | IOSTANDARD = LVCMOS33 ​ |  PULLDOWN ; 
 +</​code>​ 
 + 
 + 
 +\\ 
 +__**Rotary push-button switch**__ 
 + 
 +<​code>​ 
 +NET "​A" ​      LOC = "​T13" ​ |  IOSTANDARD = LVCMOS33 ​ | PULLUP ​  ; 
 +NET "​B" ​      LOC = "​R14" ​ |  IOSTANDARD = LVCMOS33 ​ | PULLUP ​  ; 
 +NET "​CENTER" ​ LOC = "​R13" ​ |  IOSTANDARD = LVCMOS33 ​ | PULLDOWN ; 
 +</​code>​ 
 + 
 + 
 +====== Discrete LEDs ====== 
 + 
 +<​code>​ 
 +NET "​LED0" ​  LOC = "​R20" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED1" ​  LOC = "​T19" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED2" ​  LOC = "​U20" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED3" ​  LOC = "​U19" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED4" ​  LOC = "​V19" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED5" ​  LOC = "​V20" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED6" ​  LOC = "​Y22" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ;  
 +NET "​LED7" ​  LOC = "​W21" ​ |  IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ; 
 +</​code>​ 
 + 
 + 
 + 
 + 
 +====== Clock sources ====== 
 + 
 +__**On-board 50 MHz crystal oscillator**__ 
 + 
 +<​code>​ 
 +NET "​CLK" ​  LOC = "​E12" ​ |  IOSTANDARD = LVCMOS33 ; 
 +</​code>​ 
 + 
 + 
 +\\ 
 +__**Auxiliary clock oscillator socket (empty)**__ 
 + 
 +<​code>​ 
 +NET "​CLK" ​  LOC = "​V12" ​ |  IOSTANDARD = LVCMOS33 ; 
 +</​code>​ 
 + 
 +\\ 
 +__**SMA connector**__ 
 + 
 +<​code>​ 
 +NET "​CLK" ​  LOC = "​U12" ​ |  IOSTANDARD = LVCMOS33 ; 
 +</​code>​ 
 + 
 +====== Six-pin accessory headers ====== 
 + 
 + 
 +__**Thru-hole pads (J19 header test points)**__ 
 + 
 +<​code>​ 
 +NET "​PAD1" ​  LOC = "​Y18" ​ | IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ; 
 +NET "​PAD2" ​  LOC = "​W18" ​ | IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ; 
 +NET "​PAD3" ​  LOC = "​V17" ​ | IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ; 
 +NET "​PAD4" ​  LOC = "​W17" ​ | IOSTANDARD = LVCMOS33 ​ | SLEW = SLOW  | DRIVE = 8 ; 
 +</​code>​ 
 + 
 + 
 + 
 + 
 +====== ====== 
 +\\ 
 +---- 
 + 
 +Last update: [[pacher@NOSPAMto.infn.it|Luca Pacher]] - Nov 19, 2014