Launch Cadence Incisive (NCLaunch)

[ Back ]

Contents

Introduction

Incisive e' completamente technolgy-independent! Incisive_10.20

Cadence Incisive (VHDL/Verilog simulator)

setenv MANPATH ""
source /usr/local/config/cds_config/CdsIC_615.rc

or inspect the content of the CdsIC_615.rc file and create your own initialization script.

Software releases

Incisive 10.20

Running Cadence Incisive

Startare Incisive:

cd ~/scratch/<tech_dir>
nclaunch -new &

Quit the session

File ⇒ Exit…



Last update: Luca Pacher - Apr 21, 2013