====== Getting started with Xilinx ISE ====== [ __[[vlsi:workbook:fpga#contents|Back to index]]__ ] == Contents == * Introduction * Xilinx ISE * Web Edition * Licensed softwares * Installing Xilinx ISE Web Edition on Linux * UNIX environment * Running Xilinx ISE * Supported devices * ... **Keywords:** ===== Introduction ===== [[http://en.wikipedia.org/wiki/Xilinx_ISE]] free version vs. commercial version Development tool: **Xilinx ISE/Vivado** design suites. //[[http://www.xilinx.com/]]// //[[http://www.xilinx.com/products/silicon-devices/fpga/index.htm]]// //[[http://www.xilinx.com/products/design-tools/index.htm]]// Full documentation about Xilinx products: \\ [[http://www.xilinx.com/support/index.htm]] A very complete and exhaustive tutorial by J. Banks, //The Spartan-3E Tutorial 1 : Introduction to FPGA Programming, Version 2.0// \\ [[http://eprints.qut.edu.au/76297/1/Spartan3E_Tutorial_1ver2.pdf]] ===== Xilinx ISE ===== ISE (Integrated Software Environment) ===== Web Edition ===== Xilinx ISE Web Edition is a **free version** of Xilinx ISE Design Suite that can be downloaded from Xilinx at no charge. Being a free version, it provides synthesis and programming capabilities for **a limited number of Xilinx target devices**. A **license file** is required to use the Web Edition of Xilinx ISE, but it is free as well and can be renewed an unlimited number of times. [[http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm]] ===== Licensed softwares ===== The full-version of Xilinx ISE Design Suite is commercial and a **license** must be paid for using the software. Several licenses are available to run full-versions of Xilinx ISE design platform within the Electronics lab. Xilinx tools are installed on the **elt95wx.to.infn.it** machine (RHEL 5.x) and can be accessed through NFS under ''/export/elt95wx/disk0/Xilinx/'' To access Electronics lab Xilinx tools, first create a mount point ''/export/elt95wx/disk0/'' on your local system, # cd /export && mkdir elt95wx # cd elt95wx && mkdir disk0 \\ then mount elt95wx NFS resources with # mount -t nfs elt95wx:/export/elt95wx/disk0 /export/elt95wx/disk0 \\ or add the following line to your local ''/etc/fstab'' configuration file and reboot your system: elt95wx:/export/elt95wx/disk0 /export/elt95wx/disk0 nfs hard,intr,bg \\ :!: //**Note**// \\ NFS on elt95wx is not configured to allow remote mounting from any client workstation! If you retrive an ''access denied'' error, please contact [[deremigi@NOSPAMto.infn.it]]. ====== Design flow ====== [[http://www.xilinx.com/itp/xilinx10/isehelp/ise_c_fpga_design_flow_overview.htm]] ===== Installing Xilinx ISE Web Edition on Linux (full-installer download) ===== In this section we describe how to install the free edition of //Xilinx ISE Design Suite// (aka //WebPack// edition) on Linux operating systems. Xilinx officially supports Red Hat Enterprise Linux (RHEL) and SUSE Enterprise Linux (SEL), both 64 and 32 bit architercures. Xilinx ISE can be installed on most popular Linux distributions indeed. The official documentation about Xilinx ISE installation and licensing can be found in the //Xilinx Design Tools Installation and Licensing Guide//: [[http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/iil.pdf]] \\ Download from [[http://www.xilinx.com/support/download/index.html]] the latest version (currently 14.6) of //Xilinx ISE Design Suite// full installer for Linux. Valid Xilinx login credentials are required, thus you must create a new Xilinx account before or login with your Xilinx account. Registration is free. The full installer comes as a single very huge (~6 GB) tar file. Alternatively you can perform a __[[vlsi:workbook:fpga:ise#installing_xilinx_ise_web_edition_on_linux_multi-file_download|multi-file installation]]__. After the download has been completed, untar the archive in your download directory: cd /path/to/archive tar xvf Xilinx_ISE_DS_Lin_14.6_P.68d_3.tar \\ To begin the installation, enter the Xilinx directory and run the installation wizard ''xsetup'': cd Xilinx_ISE_DS_Lin_14.6_P.68d_3 ./xsetup & \\ Root privileges are required if you want Xilinx to install specific **drivers** to the system. Otherwise, a user installation in your local area can be performed without root privileges. Make sure that you have about 5-6 GB of free space in your installation directory. A base installation of Xilinx ISE with only the Web Edition supported devices requires more than 2 GB of disk space. During installation, uncheck the //Install Cable Drivers// option. Leaving it checked will cause errors during the installation. \\ By default the entire design suite is installed in the ''/opt/Xilinx'' directory, but you can also specify a different installation location, e.g. ''~/local/opt/xilinx''. cd ~/local/opt mkdir xilinx cd xilinx mkdir tmp If you don't have a local area for user's installations yet, read how to __[[vlsi:workbook:computing:local|Build your own local development environment]]__ . The install process will take a long time. cd ~/local/opt/xilinx ln -s 14.6/ISE_DS ISE_DS After the installation has been complete, remove sources to free disk space: rm Xilinx_ISE_DS_Lin_14.6_P.68d_3.tar rm -rf Xilinx_ISE_DS_Lin_14.6_P.68d_3 \\ Further installation notes for Linux operating systems can be found at: [[http://www.linuxjournal.com/article/6857]] \\ [[http://www.linuxjournal.com/magazine/fpga-programming-linux]] \\ [[https://www.wrbishop.com/fpga/how-to-configure-xilinx-fpga-design-suite-13-3-under-rhelcentos-6-x-fedora-15/]] (must-read!)\\ [[https://wiki.archlinux.org/index.php/Xilinx_ISE_WebPACK]] \\ [[http://www.armadeus.com/wiki/index.php?title=ISE_WebPack_installation_on_Linux]] \\ [[http://www.gentoo-wiki.info/HOWTO_Xilinx]] \\ [[http://sadgeeksinsnow.blogspot.it/2012/01/installing-xilinx-ise-webpack-on-linux.html]] \\ [[http://dreamrunner.org/blog/2012/09/12/install-xilinx-ise-on-the-ubuntu/]] \\ [[http://www.george-smart.co.uk/wiki/Xilinx_JTAG_Linux]] \\ More details about //Xilinx ISE WebPack// edition can be found at: [[http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm]] ====== Installing Xilinx ISE Web Edition on Linux (multi-file download) ====== Download separate files (~1.9 GB each file): * ''Xilinx_ISE_DS_14.6_P.68d_3-1.tar'' [All platforms split installer base image] * ''Xilinx_ISE_DS_14.6_P.68d_3-2.zip.xz'' [Install data A] * ''Xilinx_ISE_DS_14.6_P.68d_3-3.zip.xz'' [Install data B] * ''Xilinx_ISE_DS_14.6_P.68d_3-4.zip.xz'' [Install data C] mkdir ~/local/opt/xilinx/tmp mv /path/to/Xilinx_ISE_DS_14.6_P.68d_3-1.tar ~/local/opt/xilinx/tmp cd ~/local/opt/xilinx/tmp tar -xvf Xilinx_ISE_DS_14.6_P.68d_3-1.tar The remaining ''zip.xz'' files does not require to be unpacked. Put them in the same directory where you have extracted the main archive mv /path/to/Xilinx_ISE_DS_14.6_P.68d_3-2.zip.xz ~/local/opt/xilinx/tmp mv /path/to/Xilinx_ISE_DS_14.6_P.68d_3-3.zip.xz ~/local/opt/xilinx/tmp mv /path/to/Xilinx_ISE_DS_14.6_P.68d_3-4.zip.xz ~/local/opt/xilinx/tmp Run the Linux installer ''xsetup'' cd ~/local/opt/xilinx/tmp ./xsetup & See also [[http://www.cs.sfu.ca/CourseCentral/250/bfraser/labs/GuideToXilinxToolInstall.pdf]] ====== Installing Xilinx ISE Web Edition on Windows (multi-file download) ====== Download separate files (~1.9 GB each file): * ''Xilinx_ISE_DS_14.6_P.68d_3-1.tar'' [All platforms split installer base image] * ''Xilinx_ISE_DS_14.6_P.68d_3-2.zip.xz'' [Install data A] * ''Xilinx_ISE_DS_14.6_P.68d_3-3.zip.xz'' [Install data B] * ''Xilinx_ISE_DS_14.6_P.68d_3-4.zip.xz'' [Install data C] Note: to untar under Windows you need e.g. **7-Zip**, which is open source software. [[http://www.7-zip.org/]] read [[http://www.haskell.org/haskellwiki/How_to_unpack_a_tar_file_in_Windows|how to unpack a tar file in Windows]]. 7z x Xilinx_ISE_DS_14.6_P.68d_3-1.tar ===== UNIX environment setup ===== Create a main working area for all your Xilinx projects: cd ~/scratch mkdir xilinx && cd xilinx mkdir doc projects ===== Documentation ===== Create a local documentation repository for the Xilinx ISE design platform: cd ~/scratch/doc mkdir xilinx && cd xilinx find ~/local/opt/xilinx -name "*.pdf" -exec ln -s {} . \; \\ Keep projects documentation in your working directory instead, cd ~/scratch/xilinx mkdir doc ===== UNIX environment ===== Setup the UNIX environment with the ''/settings32.(c)sh'' or ''/settings64.(c)sh'' which come with Xilinx ISE installation source ~/local/opt/xilinx/ISE_DS/settings32.(c)sh For 64 bit machines (the command ''uname -m'' should output ''x86_64''): source ~/local/opt/xilinx/ISE_DS/settings64.(c)sh Check with: which ise ''~/local/opt/xilinx/14.6/ISE_DS/ISE/bin/lin64/ise'' ===== Running Xilinx ISE ===== To run Xilinx ISE, use cd ~/scratch/xilinx source ~/local/opt/xilinx/ISE_DS/settings64.(c)sh ise & This will open the //ISE Project Navigator//. NOTA! Non funziona invece il source di un symlink allo script! E nemmeno il source di una copia locale dello script... It is recommented to create a symlink to the main setup script in your working directory cd ~/scratch/xilinx ln -s ~/local/opt/xilinx/ISE_DS/settings64.(c)sh . source settings64.(c)sh ===== Licensing ===== A **free license** is required to run Xilinx ISE WebPack edition. The first time you launch ISE Project Navigator, a //Xilinx License Error// window should appear. {{:vlsi:workbook:fpga:ise:xilinx_license_error.png?300}} Left click OK, then the //Xilinx License Configuration Manager// opens (alternatively, you can launch the ''_xlcm'' executable). {{:vlsi:workbook:fpga:ise:xilinx_license_configuration_manager.png?300}} {{:vlsi:workbook:fpga:ise:xilinx_license_configuration_manager_connect.png?300}} Left click on the //Connect Now// button. You will be redirected tu Xilinx //Product Licensing// page. Alternatively, connect to [[http://www.xilinx.com/getlicense]] before starting ISE. Authenticate yourself with your Xilinx account and obtain a free WebPack license. {{:vlsi:workbook:fpga:ise:xilinx_webpack_licensing.png?300}} A license file ''Xilinx.lic'' will be send to the mail address specified during Xilinx account creation. Download the binary file and install it though the Xlinx License Configuration Manager. Open the //Manage Xilinx Licenses// tab and left click the //Load License// button. {{:vlsi:workbook:fpga:ise:manage_xilinx_licenses.png?300}} Browse your file system and select the ''Xilinx.lic'' file. The license will be automatically installed in to a new ''~/.Xilinx'' directory in your home. {{:vlsi:workbook:fpga:ise:license_installation_was_succesful.png?200}} ===== Supported devices ===== See also: [[http://en.wikipedia.org/wiki/Xilinx_ISE]] ===== Training documentation ===== A lot of documentation is freely available on xilinx.com. Must-read documents are: //Xilinx ISE In-Depth Tutorial//, \\ [[http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_4/ise_tutorial_ug695.pdf]] ===== Getting started tutorials ===== To get started with simulating your designs refere to __[[vlsi:workbook:fpga:hdlsim|Verilog/VHDL simulation with Xilinx ISE]]__. ====== ====== \\ ---- Last update: [[pacher@NOSPAMto.infn.it|Luca Pacher]] - Sep 21, 2013 ~~NOTOC~~