====== Launch Cadence Incisive (NCLaunch) ====== [ __[[vlsi:workbook:computing:cdsterm#run_cadence_executables|Back]]__ ] == Contents == * [[vlsi:computing_run_ius#introduction|Introduction]] * [[vlsi:computing_run_ius#software_releases|Software releases]] * [[vlsi:computing_run_ius#running_cadence_incisive|Running Cadence Incisive]] * [[vlsi:computing_run_ius#quit_the_session|Quit the session]] ===== Introduction ===== Incisive e' completamente **technolgy-independent**! Incisive_10.20 Cadence Incisive (VHDL/Verilog simulator) setenv MANPATH "" source /usr/local/config/cds_config/CdsIC_615.rc or inspect the content of the ''CdsIC_615.rc'' file and create your own initialization script. ===== Software releases ===== Incisive 10.20 ===== Running Cadence Incisive ===== Startare **Incisive**: cd ~/scratch/ nclaunch -new & {{:vlsi:xterm_nclaunch.png}} ===== Quit the session ===== **File => Exit...** ====== ====== \\ ---- Last update: [[pacher@NOSPAMto.infn.it|Luca Pacher]] - Apr 21, 2013 ~~NOTOC~~