====== Universal Verification Methodology (UVM) references ====== [ __[[vlsi:home|Back]]__ ] Official source code repository: //[[http://accellera.org/downloads/standards/uvm]]// UVM User Guide: [[http://accellera.org/images/downloads/standards/uvm/uvm_users_guide_1.1.pdf]] UVM Class Reference: [[http://accellera.org/images/downloads/standards/uvm/UVM_Class_Reference_Manual_1.2.pdf]] UVM Reference Flow: [[http://forums.accellera.org/files/file/70-uvm-reference-flow-version-11/]] \\ Free course from Mentor Graphics Verification Academy: \\ //[[https://verificationacademy.com/courses/basic-uvm]]// UVM tutorial from testbench.in : \\ //[[http://www.testbench.in/UT_00_INDEX.html]]// \\ UVM tutorial for beginners: \\ * [[http://colorlesscube.com/uvm-guide-for-beginners|Ch.1 - The DUT]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-2-defining-the-verification-environment|Ch.2 - The verification environment]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-3-top-block|Ch.3 - Top block]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-4-transactions-sequences-and-sequencers|Ch.4 – Sequences and sequencers]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-5-driver|Ch.5 - Driver]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-6-monitor|Ch.6 - Monitor]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-7-agent|Ch.7 - Agent]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-8-scoreboard|Ch.8 - Scoreboard]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-9-env|Ch.9 - Env]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-10-test|Ch.10 - Test]] * [[http://colorlesscube.com/uvm-guide-for-beginners/chapter-11-running-the-simulation|Ch.11 - Running the simulation]] ====== Download and use the official UVM class library source code from scratch ====== linux% wget http://accellera.org/images/downloads/standards/uvm/uvm-1.2.tar.gz linux% tar -xzf uvm-1.2.tar.gz linux% setenv UVM_HOME /path/to/uvm-1.2 linux% irun -uvmhome $UVM_HOME -uvmnocdnsextra